freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

[工學]電子砰設計資料(存儲版)

2025-02-17 12:30上一頁面

下一頁面
  

【正文】 bin=bin, str=str, leddata=weight)。leddataa=weightamp。entity munication is port(scl : out std_logic。 寫和讀兩個大的狀態(tài)signal pcfstate : state。用來寄存讀到的數(shù)據(jù)的信號組signal data : datatype 。 二級狀態(tài)為開始狀態(tài) t1:=0。139。 t3:=0。 when 2 = scl=39。 when others = null。 when 2 = scl=39。 when others = null。 when 2 = sda=39。 when others =null。139。 t3:=0。 when 2 = scl=39。when others = null。when 2 = scl=39。 t3:=0。 t3:=2。 else i2c=read。 when 1 = scl=39。 elsei2c=read。when 1 = scl=39。 pcfstate=readstate。end if。039。3乘法電路 Description:乘法電路將商品重量和商品單價輸入,將輸出商品總價 Dependencies:本程序?qū)儆谑≈?,使用簡單乘法,大量浪費FPGA的資源,還有待改進 Revision: Revision File Created Additional Comments: library IEEE。end multiply。 相乘 t:=0。begin if rising_edge(clkin) then case t is when 0 = datacon:=tempprice。 datacon:=dataconx989680。 else t:=4。 else t:=0。end if。 sda : inout std_logic。type i2cstate is(start,send,read,ans,sans,stop)。beginprocess(clkin,reset) I2C進程variable t1 : integer range 0 to 9。 各個變量給初值 t2:=8。 一級狀態(tài)為寫狀態(tài) i2c=start。器件地址2 A3type datatype is array (0 to 6) of std_logic_vector(7 downto 0)。architecture Behavioral of munication istype state is (writestate,readstate)。use 。when others = t:=0。when 5 = if datacon=x3e8 then 1000 t5:=t5+1。 when 3 = if datacon=x186a0 then 100000 t3:=t3+1。 t:=1。 轉(zhuǎn)成BCD碼variable t : integer range 0 to 5:=0。 將重量由BCD碼轉(zhuǎn)成16進制 t:=1。 輸入單價 weight : in std_logic_vector(15 downto 0)。end process。data(1)(6 downto 0)。 end case。when 3 = scl=39。039。 if t1=7 then t1:=0。039。 if t2=0 then t2:=8。 when 1 = scl=39。 elsif t1=1 theni2c=send。139。 end if。139。039。 t3:=1。 i2c=start。139。 end if。 t3:=1。 end if。139。039。 t3:=1。 then 復位 pcfstate=writestate。 讀前的初始和命令constant address2 : addr2 :=((10100010),器件地址A2(00000010),器件從地址02 (10100011))。end munication。use 。u8 : lcddisplay port map (clkin=dvclk, reset=reset, codewei=codewei, code=code, waredata=waredata, timedata=timedata, p=point, rs=rs, rw=rw, e=e, rst=rst, psb=psb, lcddata=lcddata)。 商品總價signal leddataa : std_logic_vector(31 downto 0)。 商品編碼signal weight : std_logic_vector(15 downto 0)。end ponent。 e : out std_logic。 reset : in std_logic。ponent leddisplay is 數(shù)碼管顯示驅(qū)動 port(clk : in std_logic。 lcddata : out std_logic_vector(31 downto 0)。 bin : in std_logic_vector(3 downto 0)。 keynum : in std_logic_vector(9 downto 0)。 液晶使能口Erst : out std_logic。 給ICL7135時鐘信號 din : in std_logic_vector(4 downto 0)。use 。反復進行直到成功。之后是時序仿真(Timing Simulation),其目的是通過時序可以更清楚的了解程序的工作過程,在較復雜的系統(tǒng)中時序仿真是必不可少的。LED譯碼模塊是將數(shù)字量轉(zhuǎn)換為BCD碼來驅(qū)動數(shù)碼管。 I2C程序流程圖如下圖所示。所以clkout1的頻率為:50MHZ5KHZ250KHZClk clkoutReset clkout150M Hz/ 200 = 250KHz也就是說每秒發(fā)出25K個clkout1信號,且占空比為50%。 報警電路原理圖第三章 系統(tǒng)軟件的設計 系統(tǒng)采用硬件描述語言VHDL(Very High Speed Integrated Circuit Hardware Description Language)按模塊化方式進行設計,并將各模塊集成于FPGA芯片中,然后通過Xiliinx ,對設計文件自動的完成邏輯編譯、綜合及優(yōu)化、邏輯布局布線、邏輯仿真,最后對FPGA芯片進行編程,實現(xiàn)系統(tǒng)的設計要求。本系統(tǒng)采用的ISD1420語音電路為美國ISD公司出品的優(yōu)質(zhì)單片語音錄放電路,ISD1420由振蕩器、語音存儲單元、前置放大器、自動增益控制電路、抗干擾濾波器、輸出放大器組成,能夠錄放的時間為20秒。圖中D為橋式整流管,CC2為濾波電容,CC4為旁路電容,用以改善負載的瞬態(tài)響應。系統(tǒng)采用PCF8563完成時鐘設計,PCF8563是Philips公司推出的一款帶I2C總線,具有極低功耗的多功能時鐘/日歷芯片,它具有四種報警功能和定時功能;內(nèi)部時鐘電路、內(nèi)部振蕩電路、內(nèi)部低電壓檢測以兩線制I2C總線通信方式,不但使用外圍電路簡潔,而且增加了芯片的可靠性。16腳懸空,17腳為復位信號輸入端,18腳為LCD負壓驅(qū)動腳。 系統(tǒng)實現(xiàn)的功能主要通過軟件的編程實現(xiàn),F(xiàn)PGA內(nèi)部分為四個模塊:數(shù)據(jù)處理模塊、LED和LCD驅(qū)動模塊、I2C通訊模塊和鍵盤控制模塊,各模塊的設計將在第三章詳細介紹。圖中C2是基準電容;C4和R9為積分元件;C5為自零電容;R11和C7組成標準的濾波網(wǎng)絡;RC6和DWDW2組成輸入過壓保護電路;R7為基準電壓調(diào)節(jié)電位器,可以根據(jù)需要顯示的滿度值選擇基準電壓的大小,它們的關系是;滿度值為基準電壓的兩倍。由于TLC7135內(nèi)部沒有振蕩器,所以需要外接。其放大增益為微弱信號Vi1和Vi2被分別放大后從INA126的第6腳輸出?!×泓c輸出%FS177。1??紤]到系統(tǒng)要求顯示的信息量較多,可以用LED與LCD分別顯示不同信息,LED可以顯示時鐘和特重量,LCD采用帶中文字庫的144*32點陣式液晶顯示器系列: LM3037,,可以設置顯示單介,金額,中文,購物日期等。故而采用雙積分型A/D轉(zhuǎn)換器可大大降低對濾波電路的要求。但考慮到所轉(zhuǎn)換的信號為一慢變信號,逐次逼近型A/D轉(zhuǎn)換器的快速的優(yōu)點不能很好的發(fā)揮,且根據(jù)系統(tǒng)的要求,14位AD足以滿足精度要求,太高的精度就反而浪費了系統(tǒng)資源??梢酝ㄟ^改變的大小來改變放大器的增益。 高精度運算放大器電路圖電阻RR2電容CCCC4用于濾除前級的噪聲,CC2為普通小電容,可以濾除高頻干擾,CC4為大的電解電容,主要用于濾除低頻噪聲。因此,我們決定采用方案二,即采用FPGA為控制核心。在擴展功能上,本設計增加了一個時鐘芯片(PCF8563)用以顯示購物日期/時鐘,一個聲光報警電路用以過載提示。;3) 單價金額及總價金額顯示:單價金額和總價金額的單位為元,;4) 具有去皮功能和總額累加計算功能。最小系統(tǒng)部分主要是擴展了外部數(shù)據(jù)存儲器,數(shù)據(jù)采集部分由壓力傳感器、信號的前級處理和A/D轉(zhuǎn)換部分組成。 創(chuàng)新部分 在完成基本要求和題目所提出的發(fā)揮部分要求的情況下,考慮到電子稱實際應用的需要,又增加了時鐘功能,另外由于實際當中,稱可以有一定量的過載,但不能超出要求的范圍,為此我們還設計了過載提示功能。單片機控制適合于功能比較簡單的控制系統(tǒng),而且其具有成本低,功耗低,體積小算術(shù)運算功能強,編程復雜。普通低溫漂運算放大器構(gòu)成多級放大器會引入大量噪聲。缺點:此電路要求RR4相等,誤差將會影響輸出精度,難度較大。所以我們需要選擇14位或者精度更高的A/D。雙積分型A/D轉(zhuǎn)換器精度高,但速度較慢(如:TLC7135),具有精確的差分輸入,輸入阻抗高(大于103MΩ),可自動調(diào)零,超量程信號,全部輸出于TTL電平兼容。綜合的分析其優(yōu)點和缺點,我們最終選擇了方案二,即使用TLC7135對信號進行AD轉(zhuǎn)換。經(jīng)過方案比較和論證??梢詽M足本系統(tǒng)的精度要求。15輸出電阻350177。因為壓力信號變化十分緩慢,所以濾波電路可以把頻率做得很低。ICL7135的時鐘頻率典型值為200kHz最高允許為1200kHz,時鐘頻率越高,轉(zhuǎn)換速度越快。FPGA器件采用現(xiàn)場可編程單元陣列LCA結(jié)構(gòu),它由三個可編程基本模塊組成:輸入/輸出模塊IOB陣列、可配置邏輯塊CLB陣列及可編程互連網(wǎng)絡PI。不需要外加鍵盤或顯示控制芯片,從而簡化了外部電路。動態(tài)顯示原理如下:當向LED的段碼數(shù)據(jù)口發(fā)送第一個8位數(shù)據(jù),這時只有一個數(shù)碼管顯示該數(shù)碼,延時一段時間后可以發(fā)送第二8位數(shù)據(jù),顯示器分時輪流工作,雖然每次只有一個顯示器顯示,但由于人的視覺暫留現(xiàn)象,我們?nèi)阅芨杏X到所有顯示器都在同時顯示。其中SCL為時鐘輸入端,數(shù)據(jù)隨時鐘信號同步輸入器件或從器件輸出; SDA為雙向引腳,用于串行數(shù)據(jù)的輸入輸出?;到y(tǒng)采用RS232串口實現(xiàn)該項功能。利用它,語音和音頻信號被直接存儲,以其原本的模擬形式進入EEPROM存儲器。根據(jù)系統(tǒng)的要求,系統(tǒng)的大部分功能都以硬件為基礎,通過VHDL編程實現(xiàn),本設計軟件實現(xiàn)的主要能如下1) 設置單價2) 顯示重量、金額和單價3) 顯示日期、時鐘4) 去皮5) 累計6) 匯總7) 語音報警(超載、欠量程) ,該程序流程圖是根據(jù)系統(tǒng)軟件的工作流程得出的,它實際上是各個程序模塊的集合,如程序初始化包括系統(tǒng)分頻、LCD初始化、語音控制模塊初始化等。目前,支持I2C總線通信協(xié)議的視頻編、解碼芯片大多只支持100Kb/s或400Kb/s的傳輸速度,并且支持兩種地址:①從設備地址(SCLAVE ADDRESS,8bit),分為讀地址和寫地址,高7位用于選中芯片, 第0位是讀/寫控制位(R/W),決定是對該芯片進行讀或?qū)懖僮?;②?nèi)部寄存器單元地址(SUBADRRESS,8bit),用于決定對內(nèi)部的哪個寄存器單元進行操作,通常還支持地址單元連續(xù)的多字節(jié)順序讀寫操作。數(shù)碼管全部顯示零。 液晶驅(qū)動程序狀態(tài)轉(zhuǎn)換圖5. 鍵盤控制模塊鍵盤控制電路由16個按鍵組成,其中數(shù)據(jù)鍵10個,控制鍵6個。通過測試,系統(tǒng)完全達到了設計要求,不但完成了基本
點擊復制文檔內(nèi)容
環(huán)評公示相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1