freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

電子信息工程畢業(yè)論文---cdma數(shù)字移動通信系統(tǒng)信道編碼的實現(xiàn)-免費閱讀

2024-12-01 10:14 上一頁面

下一頁面
  

【正文】 ram_a:po lpm_ran_dp generic map(lpm_width=1,lpm_widthad=9) port map(data(0)=intlv_data_a,rdaddress=rd_addr_a,wraddress=wr_addr_a,rdclock=clk,wrclock=clk,rden=ram_read_ena,wren=not ram_select, q(0)=ram_out_a)。 ELSE intlv_data_A=’0’: intlv_data_B=data_in。intlv_out。 poro(clk:in std_logic。 Use 。 end if。 Signal crc_xhdl1 : std_logic_vector( 3 downto 0) 。 data : in std_logic。 //寄存器左移一位 reg1( 0) = 0。本論文是在金 老師的精心指導(dǎo)下完成的,無論是在 設(shè)計編程 階段還是在論文寫作過程中 金 老師都給予了我很大的支持與幫助。這也是 EDA設(shè)計的必須步驟。 表 交織參數(shù)表 交織器長度 m J 48 4 3 96 5 3 192 6 3 384 6 6 768 6 12 1,536 6 24 3,072 6 48 6,144 7 48 12,288 7 96 144 4 9 288 5 9 576 5 18 1,152 6 18 2,304 6 36 4,608 7 36 9,216 7 72 18,432 8 72 36,864 8 144 128 7 1 21 交織器生成的流程圖如下: 圖 4 6 交織實現(xiàn)流程圖 交織仿真圖 如下: 圖 4 7 交織仿真圖 方案所達到的效果 該方案用一個 FPGA芯片實現(xiàn)了 CDMA系統(tǒng)的信道編碼,通過軟件仿真和硬件實現(xiàn),各種參數(shù)和關(guān)鍵點的波形都和理論比較接近,達到了預(yù)期的效果。 符號重復(fù)可通過選用速率為卷積編碼器時鐘速率相應(yīng)整數(shù)倍的時鐘讀取數(shù)據(jù)來實現(xiàn)。其中 c0為信息比特輸入與 D D D D D D8相異或的結(jié)果; c1為信息比特輸入與 D D DD8 相異或的結(jié)果。仿真 結(jié) 果與 理論結(jié) 果一致表明 該 算法和程序是正確的。 CRC4編碼 算 法 設(shè)待發(fā) 送的信息 t(x)為 12 位的二 進 制 數(shù) 據(jù) 100100011100; CRC4 的生成多項 式 為 g(x)= 4 1xx??, 對應(yīng) 序列 為 10011。在對一個設(shè)計實體定義了外部界面后,一旦其內(nèi)部開發(fā)完成后,其他的設(shè)計就可以直接調(diào)用這個實體。因此,F(xiàn)PGA 的使用非常靈活。 可以說, FPGA芯片 是小批量系統(tǒng)提高系統(tǒng)集成度、可靠性的最佳選擇之一 。 1 2 ? k 1 2 ? k 1 2 ? k 1 2 ? n 10 3 FPGA原理 FPGA 是英文 Field- Programmable Gate Array的縮寫,即 現(xiàn)場可編程門陣列 ,它是在 PAL、 GAL、 CPLD等可編程器件的基礎(chǔ)上進一步發(fā)展的產(chǎn)物。卷積編碼器的一般形式如圖 23 所示,它包括:一個由 N 段組成的輸入移位寄 存器,每段有 k 級,共 Nk 個寄存器;一組 n個模 2 和相加器;一個由 n級組成的輸出移位寄存器,對應(yīng)于每段 k個比特的輸入序列,輸出 n個比特。這種碼的糾錯能力強,不僅可糾正隨機差錯,而且可糾正突發(fā)差錯。此二 進 制多 項 式 y(x)就是 t(x)經(jīng)過 生成多 項 式 g(x)的 CRC 校 驗碼 多 項 式 。循 環(huán) 冗余校 驗 CRC( Cyclic Redundancy Check)是一種高效、可靠的差錯控制方法,其 編碼簡單 、 糾錯 能力 強且誤判 概率很低,所以在 工業(yè)測 控及通信系 統(tǒng) 中得到了 廣泛 的 應(yīng)用。 CDMA 系統(tǒng)的信道編碼原理及其框圖 : 數(shù)字通信中經(jīng)常用信道編碼來提高數(shù)據(jù)傳輸?shù)目煽啃裕?CDMA 系統(tǒng)中,進入信道編碼的數(shù)據(jù)是由聲碼器產(chǎn)生的以 20 ms 為一幀的速率可變的數(shù)字語音信號,它的速度分為 8 600 bps(全速率)、 4000 bps(半速率)、 2 000 bps( 1/4 速率)、 800 bps( 1/8 速率),分別對應(yīng)每幀 17 80、 16 bit。 5 2 CDMA系統(tǒng)信道編碼原理 CDMA系統(tǒng)原理 CDMA是在擴頻通信的基礎(chǔ)上發(fā)展起來的。它的功能將完全可以滿足 CDMA硬件設(shè)計的要求。股票交易、移動辦公室、銀行業(yè)務(wù)、網(wǎng)上購物、機票及酒店的預(yù)訂、旅游及行程和路線安排、電子與交互式游戲、電子雜志分銷、點播 音頻及視頻業(yè)務(wù)訂購等,可能是移動電子商務(wù)中最先開展的應(yīng)用。 CDMA提供的移動定位服務(wù)可包括:緊急救援、車隊管理、汽車救援、貨物跟蹤、物流管理、基于位置信息的廣告、選擇性的大眾廣播、與位置信息相關(guān)的收費。目前第三代移動通信的市場定位多基于移動多媒體業(yè)務(wù),其成功的關(guān)鍵在于它提供個性化多媒體業(yè)務(wù)的能力。在網(wǎng)絡(luò)頻譜方面,要想使未來 CDMA通信達到更高的傳輸速度,必須使未來 CDMA網(wǎng)絡(luò)在通信帶寬上比現(xiàn)有 CDMA網(wǎng)絡(luò)的帶寬高出許多。 FPGA I 目錄 1 緒論 ................................................................................................................1 CDMA的發(fā)展背景 ...............................................................................1 CDMA的現(xiàn)狀及未來的預(yù)測 ................................................................1 本課題的提出與意義 ............................................................................3 2 CDMA系統(tǒng)信道編碼原理 ..............................................................................5 CDMA系統(tǒng)原理 ..................................................................................5 CRC 校驗 .............................................................................................6 概述 ...........................................................................................6 CRC 校驗原理 ............................................................................6 CRC 編碼算法 ............................................................................7 卷積編碼 ...............................................................................................8 交織技術(shù) ...............................................................................................9 3 FPGA原理 ....................................................................................................10 FPGA工作原理 ..................................................................................10 FPGA的應(yīng)用 ......................................................................................11 VHDL 語言 .........................................................................................11 4 整體設(shè)計方案 ................................................................................................13 信道編碼 FPGA實現(xiàn)原理模型圖 .......................................................13 用 VHDL 來實現(xiàn) CRC 編碼 ...............................................................13 CRC4 編碼算法 .......................................................................13 用 VHDL 實現(xiàn) CRCN 編碼的流程描述 ..................................14 CRC4 編碼仿真波形 ...............................................................15 尾比特添加 .........................................................................................16 卷積編碼實現(xiàn) .....................................................................................17 符號重復(fù) .............................................................................................19 符號刪除 .............................................................................................19 塊交織 ................................................................................................19 方案所達到的效果 ..............................................................................21 II 5 結(jié)論 ..............................................................................................................22 致 謝 ..............................................................................................................23 附 錄 ..............................................................................................................24 參考文獻 ..............................................................................................................28
點擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1