freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

畢業(yè)論文-基于vhdl的頻率計(jì)設(shè)計(jì)-預(yù)覽頁(yè)

 

【正文】 尺寸很小的硅片上,電路的體積成倍縮小,走線短,減少了干擾,系統(tǒng)的可靠性也得到了提高,而且該類器件重構(gòu)硬件的結(jié)構(gòu)和工作方式可以通過(guò)軟件編程的方式實(shí)現(xiàn),使電子設(shè)計(jì)通過(guò)開(kāi)發(fā)語(yǔ)言和開(kāi)發(fā)工具就可實(shí)現(xiàn),體 現(xiàn)了硬件電路軟設(shè)計(jì)的思想,硬件設(shè)計(jì)像軟件設(shè)計(jì)一樣靈活、方便快捷,產(chǎn)品的 開(kāi)發(fā)周期也得到了極大的縮短,改變了傳統(tǒng)的數(shù)字系統(tǒng)設(shè)計(jì)方法、設(shè)計(jì)過(guò)程和設(shè)計(jì)觀念,也改變了頻率計(jì)的傳統(tǒng)設(shè)計(jì)方法和設(shè)計(jì)觀念。 1. 2 頻率計(jì)發(fā)展現(xiàn)狀 早在 1952 年美國(guó)就生產(chǎn)出了第一臺(tái)數(shù)碼管顯示的 10MHz 計(jì)數(shù)器。程控計(jì)數(shù)器是電信號(hào)的臺(tái)式測(cè)量?jī)x表和系統(tǒng)“器件 ,而智能計(jì) 數(shù)器不僅是以上二者,配備不同的傳感器后可以成為其他的非電量測(cè)量?jī)x表。比較測(cè)頻法和響應(yīng)測(cè)頻法測(cè)量范圍有限而且精度低。 1 個(gè)字的計(jì)數(shù)誤差,精度較高,而且電路實(shí)現(xiàn)也不復(fù)雜。 主要技術(shù)指標(biāo) : 1Hz~50MHz。 ( 2)根據(jù)選定的設(shè)計(jì)方法,依自頂而下的設(shè)計(jì)方法對(duì)頻率計(jì)進(jìn)行總體方案的設(shè)計(jì)和模塊的劃分。 ( 6)基于 VHDL 的頻率計(jì)的方案研究。 通常情況下計(jì)算每秒內(nèi)待測(cè)信號(hào)的脈沖個(gè)數(shù),即閘門時(shí)間為 1 s。 原理圖: 原理圖 頻率計(jì)測(cè)量頻率的原理圖 本設(shè)計(jì)采用 FPGA 來(lái)實(shí)現(xiàn)全同步數(shù)字頻率計(jì)。 頻率控制模塊的 VHDL 語(yǔ)言源程序 頻率控制信號(hào)的輸入信號(hào)是 1HZ,將時(shí)鐘信號(hào) clk 兩分頻后分別取反賦給鎖存使能 lock 和計(jì)數(shù)使能端 ena,這樣計(jì)數(shù)完成后就能實(shí)現(xiàn)數(shù)據(jù)的鎖存。 use 。 lock : out std_logic )。event and clk=39。 end process。039。039。 ena=div2clk。 十進(jìn)制計(jì)數(shù)器的程序 如下: Library ieee。 : out std_logic_vector(3 downto 0)。 begin if clr=39。)。 then if CS=39。039。 end if。039。 end behav。 系統(tǒng)模塊的 VHDL 語(yǔ)言源程序 系統(tǒng)模塊的設(shè)計(jì) 系統(tǒng)模塊實(shí)現(xiàn)對(duì)各模塊功能的整合,實(shí)現(xiàn)整個(gè)系統(tǒng)的功能。 use 。 圖 CNT10 頂層設(shè)計(jì)圖 基于 VHDL 的頻率計(jì)設(shè)計(jì) 10 wei : out std_logic_vector(5 downto 0)。 signal led1,led2,led3,led4,led5,led6 : std_logic_vector(3 downto 0)。 cq : out std_logic_vector(3 downto 0)。 ena : out std_logic。 ponent reg4 port( clk : in std_logic。 ponent decode port (qin : in std_logic_vector(3 downto 0)。 signal clr1,ena1,lock1 : std_logic。 u3 : t10 port map(clk=cout2,clr=clr1,ena=ena1, cq=cq3,cout=cout3)。 u7 : ctl port map(clk=clk, clr=clr1,ena=ena1,lock=lock1)。 u11 : reg4 port map(clk=lock1,cq=cq4, led=led4)。 u14:decode port map(qin = led2,qout=dula_temp2)。 u18:decode port map(qin = led6,qout=dula_temp6)。 variable t1 : integer range 0 to 2500。139。 clk =not clk。 end if。 begin if clk_div139。 if count=6 then count := 0。dula=dula_temp1。dula=dula_temp3。dula=dula_temp5。 end case。 clk是鎖 存允許信號(hào),當(dāng) clk有效時(shí),鎖存模塊便將輸入信號(hào) cq的值送給輸出信號(hào) led。 entity reg4 is 鎖存器 port( clk : in std_logic。 architecture one of reg4 is begin process(clk,cq) begin if clk39。 end if。這便是典型的8段譯碼器。 use 。 end decode。見(jiàn)圖 MUX41 程序 如下: LIBRARY IEEE。 SEL:IN STD_LOGIC_VECTOR(1 DOWNTO 0)。 ELSIF(SEL=01)THEN DATA=B。 END PROCESS。 Use 。 architecture BHV of t4 is SIGNAL A:std_logic_vector(1 downto 0)。 THEN IF A=11 THEN A=00。 Q=A。 USE 。 END FENGEVENT AND CLK=39。 ELSE COUNT=COUNT+1。 CLK8HZ=CLK_TEMP。s Zhangye city during their journey to Kazakhstan, May 5, 2022. The caravan, consisting of more than 100 camels, three horsedrawn carriages and four support vehicles, started the trip from Jingyang county in Shaanxi on Sept 19, 2022. It will pass through Gansu province and Xinjiang Uygur autonomous region, and finally arrive in Almaty, formerly known as AlmaAta, the largest city in Kazakhstan, and Dungan in Zhambyl province. The trip will cover about 15,000 kilometers and take the caravan more than one year to plete. The caravan is expected to return to Jingyang in March 2022. Then they will e back, carrying specialty products from Kazakhstan A small art troupe founded six decades ago has grown into a household name in the Inner Mongolia autonomous region. In the 1950s, Ulan Muqir Art Troupe was created by nine young musicians, who toured remote villages on horses and performed traditional Mongolian music and dances for nomadic families. The 54yearold was born in Tongliao, in eastern Inner Mongolia and joined the troupe in says there are 74 branch troupes across Inner Mongolia and actors give around 100 shows every year to local nomadic people. I can still recall the days when I toured with the troupe in the early 39。t just about sharing art with nomadic families but also about gaining inspiration for the music and dance. Ulan Muqir literally translates as red burgeon, and today39。s president, who is also a renowned tenor, tells China Daily. During a tour in 1985, he went to a village and met an elderly local man, who told him a story about his friendship with a solider from Shenyang, capital of Northeast China39。s to e and recapping the key points. This device, not used in the original, is culturally understandable but artistically mediocre. What puzzles me is the two new songs for the opening and end credits. They were written in English, but sung by Chinese with an unfortable accent. They were obviously designed to appeal to an Englishspeaking base, but do not jibe with the Chinese dialogue. Speaking of the dialogue, the English translation, picked apart by some Chinese, is too literal for my taste. I can imagine a typical American hit by a flurry of royal ranks, addresses and greetings, even multiple names and titles for the same person. The first half hour must be a swamp to wade through, very much like my experience of getting through a Tolstoy tome with its endless inflections of names transliterated into lengthy Chinese. I see the choice of verbatim translation as an effort for conveying exotica. It is fairly petent, with no error that I could detect, but fails to rise above words or capture the essence of the language. A cultural product usually crosses over to a foreign territory first by an emphasis on the monalities. But whether inside or outside China, the temptation to sell it for the differences is just too great. Sure, the sumptuous sets and costumes are a big attraction, but the narrative technique has bee- how shall I put it?- a bit anglicized, which is necessary for cultural export. Judging by the responses, this legend, which, contrary to the claim of the English trailer, is totally fictitious, has departed from China but not yet landed on American shores. I am a big fan of Ralph Waldo Emerson39
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1