freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

簡(jiǎn)易智能電動(dòng)車(chē)的設(shè)計(jì)-預(yù)覽頁(yè)

 

【正文】 和光耦 2 導(dǎo)通,三極管 Q Q Q6 和三極管 Q Q Q12 導(dǎo)通,其余 6 個(gè)三極管截止,使電動(dòng)機(jī)正向偏置;若 2 端口輸出為高電平,對(duì)應(yīng)的光耦 3 和光耦 4 導(dǎo)通,三極管 Q Q Q5 和三極管 Q Q Q11 導(dǎo)通,其余 6 個(gè)三極管截止,電動(dòng)機(jī)反向偏置。速度共分為八檔,可以滿(mǎn)足車(chē)速調(diào)節(jié)的精度要求。 小車(chē)移動(dòng)距離(速度)信號(hào)的檢測(cè)與處理模塊的設(shè)計(jì) 為了對(duì)小車(chē)的總行程(或速度)進(jìn)行測(cè)量,把有溝槽的斷繼式光電傳感器 固定于輪軸附近,再在輪軸上固定一片開(kāi)有四條漏光條的擋板。 經(jīng)測(cè)量得 小車(chē)車(chē)輪外圍得周長(zhǎng)為 16cm,車(chē)輪轉(zhuǎn)動(dòng)一周,發(fā)出四個(gè)脈沖。要向控制器提供判斷小車(chē)位置狀態(tài)的這些信息,需要兩個(gè)傳感器,檢測(cè)理想的初始狀態(tài)(兩個(gè)前輪位于引導(dǎo)線的兩側(cè))是否遭到改變。根據(jù)該兩傳感器的狀態(tài)可以判斷小車(chē)和引導(dǎo)線的位置關(guān)系,如表 。 外界的金屬性物體對(duì)傳感器的高頻 — 振蕩 器 (即是由 纏繞在鐵氧體磁芯上的線圈構(gòu)成的 LC振蕩 器 ) 產(chǎn)生非接觸式感應(yīng)作用。它的工作原理大致是這樣的:首先 FPGA 發(fā)射出的超聲波發(fā)射信號(hào)經(jīng)過(guò) 7414 整形到超聲波發(fā)射器。 第 10 頁(yè) 共 23 頁(yè) 圖 障礙物信號(hào)檢測(cè)原理圖 小車(chē)運(yùn)動(dòng)方向引導(dǎo)光源信號(hào)的檢測(cè)系統(tǒng)設(shè)計(jì) 該自制小車(chē)運(yùn)動(dòng)方向引導(dǎo)光源信號(hào)的檢測(cè)系統(tǒng)示意圖如圖 所示。具體描述見(jiàn)表 ??刂撇呗苑謩e描述如下: (1) 小車(chē)移動(dòng)距離(速度)信號(hào)的檢測(cè)與處理 控制策略的設(shè)計(jì) 小車(chē)車(chē)輪轉(zhuǎn)動(dòng),每當(dāng)經(jīng)過(guò)一次漏光條,對(duì)射式光電傳感器就導(dǎo)通一次,導(dǎo)通 4 次,小車(chē)車(chē)輪即旋轉(zhuǎn)了一周。 表 小車(chē)移動(dòng)距離(速度)信號(hào)的控制策略示意表 光電傳感器導(dǎo)通次數(shù) 車(chē)子行駛的距離 4*n+1 (16*n+4)cm 4*n+2 (16*n+8)cm 4*n+3 (16*n+12)cm 4*(n+1) 16*(n+1)cm (2) 小車(chē)移動(dòng)引導(dǎo)線信號(hào)的檢測(cè)與處理 控制策略的設(shè)計(jì) 小車(chē)要壓著引導(dǎo)線前進(jìn),就需要兩對(duì)檢測(cè)黑線的發(fā)射式光電傳感器分別卡在黑線的兩邊。 表 引導(dǎo)線信號(hào)的檢測(cè)與處理的控制策略示意表 光電傳感器左 光電傳感器右 小車(chē)要做的動(dòng)作 0 0 方向性錯(cuò)誤 0 1 左轉(zhuǎn) 1 0 右轉(zhuǎn) 1 1 嚴(yán)重偏離軌道 ( 3)金屬探測(cè)傳感 控制策略的設(shè)計(jì) 當(dāng) 金屬 探測(cè) 傳感器 探測(cè)到金屬的時(shí)候,對(duì) FPGA 控制信號(hào)端口發(fā)出信號(hào),隨即 FPGA 控制系統(tǒng)發(fā)出信號(hào)給外圍電路,控制發(fā)出 光聲信號(hào)以提示檢測(cè)到了金屬。 ( 5) 小車(chē)運(yùn)動(dòng)方向引導(dǎo)光源信號(hào)的檢測(cè) 控制策略的設(shè)計(jì) 小車(chē)的三個(gè)光電傳感器從三個(gè)方位對(duì)前方的電源進(jìn)行檢測(cè),追蹤。 第 12 頁(yè) 共 23 頁(yè) 表 引導(dǎo)光源信號(hào)的檢測(cè) 控制策略示意表 A B C 功能 0 1 0 進(jìn)庫(kù) 0 0 1 左轉(zhuǎn)(大幅度) 1 0 0 右轉(zhuǎn)(大幅度) 1 1 1 有問(wèn)題(調(diào)整整個(gè)傳感器位置) 0 1 1 左轉(zhuǎn)(小幅度) 1 1 0 右轉(zhuǎn)(小幅度) 0 0 0 查找光源 ( 6) 簡(jiǎn)易智能電動(dòng)小車(chē)的 總體控制策略 的 流程圖表示 圖 簡(jiǎn)易智能電動(dòng)小車(chē) 總體控制策略 的 流程圖 表示 Y N Y Y 啟動(dòng) 引導(dǎo)線檢測(cè)控制子程序 金屬檢測(cè)控制子程序 避障控制 子程序 光源檢測(cè)子程序 到達(dá) C 點(diǎn)? N 是否達(dá)到 90s 結(jié)束 是否入庫(kù) ? N 第 13 頁(yè) 共 23 頁(yè) 3.實(shí)際測(cè)試 3. 1 測(cè)試設(shè)備 1. 最終測(cè)試用到的儀器包括 模擬 跑道:直道區(qū)長(zhǎng) (下面鋪有薄鐵片任意個(gè)) 彎道區(qū)半徑 障礙區(qū)長(zhǎng) 1m (兩側(cè)任意位置分別放一大小為 50cm 12cm 6cm 的障礙物) 停車(chē)區(qū)長(zhǎng) 車(chē)庫(kù)長(zhǎng) 卷尺:精度 ,最大測(cè)量范圍 。 (2) 金屬檢測(cè)部分 小車(chē)檢測(cè)到和顯示的的金屬片數(shù)因該與實(shí)際的金屬片數(shù)相符。 測(cè)試結(jié)果 具體測(cè)試結(jié)果分別如下列表所示: 表 (起始點(diǎn)到 C點(diǎn)的直道區(qū)的測(cè)試結(jié)果) 測(cè)試次數(shù) 秒表所 測(cè)時(shí)間 顯示器 顯示距離 距離誤差計(jì)算 實(shí)際薄 鐵片數(shù)目 顯示記錄 鐵片數(shù)目 計(jì)數(shù)誤差計(jì)算 1 2 3 測(cè)試電動(dòng)車(chē)是否能安全使過(guò)障礙區(qū),并準(zhǔn)確進(jìn)入車(chē)庫(kù)。 4. 結(jié)論 本系統(tǒng)以 FPGA 技術(shù)作為核心控制技術(shù),以行為控制作為核心控制策略。 最終使小車(chē)完成題目中的各項(xiàng)任務(wù)。 【 2】 潘松、黃繼業(yè) .《 EDA技術(shù)實(shí)用教程》 [M].北京:科學(xué)出版社, 2021 年(第一版)。測(cè)試(第二版) [M].湖北:華中理工大學(xué)出版社 .2021年 . 【 5】 梅遂生、楊家德 .光電子技術(shù) — 信息裝備的新秀 [M].北京:國(guó)防工業(yè)出版社 .1999年 . 第 16 頁(yè) 共 23 頁(yè) 附錄 一(程序清單) : library IEEE。 entity quancheng is Port (fuwei,clk : in std_logic。 dangwei1 : in std_logic。 Control : out std_logic_vector(3 downto 0)。 architecture Behavioral of quancheng is signal fanzhuan : std_logic。 signal luchengjishu : std_logic。 signal Hz_500,Hz_100,Hz_1 : std_logic。 signal luchengxianshi : integer range 0 to 32752。 then c:=0。 elsif c=100000 then c:=0。 end if。Hz_100=39。139。 process(Hz_100) variable c : integer range 0 to 100。 elsif c=100 then c:=0。 end if。139。tingzhi=39。 then if c89 then c:=c+1。 end if。 shijianxianshi=c。 第 18 頁(yè) 共 23 頁(yè) begin if fuwei=39。 then c:=0。zuozhuan=39。 control(1)=39。youzhuan=39。 elsif rising_edge(clk) then if qianduan=39。 then c:=4000000。 elsif dangwei3=39。 end if。 if Luchengxianshi=460 then zhuanwan=39。d:=0。039。 elsif miao_2=39。139。139。 elsif (luchengxianshidangqianzhuangtai)160 then youzhuan=39。c:=3500000。039。fanzhuan=39。 end if。039。 end if。 process(fanzhuan,Hz_100,fuwei) variable c : integer range 0 to 20。039。 elsif rising_edge(Hz_100) then 第 19 頁(yè) 共 23 頁(yè) if c20 then c:=c+1。039。 end if。039。miao_5=39。 elsif rising_edge(Hz_500) then if c2499 then c:=c+1。139。 else c:=2500。 end if。139。039。 then control(3 downto 2)=10。139。 then control(3 downto 2)=10。 else control(3 downto 2)=00。 lu cheng ji shu process(Hz_500,Lucheng) begin 第 20 頁(yè) 共 23 頁(yè) if rising_edge(Hz_500) then if lucheng=39。 else Luchengjishu=39。 end process。 then c:=0。 end process。139。ddddd:=0。039。 else c:=luchengxianshi。 elsif c999 then c:=c1000 。 elsif c9 then c:=c10 。then p1=10。p5=dd。p4=10。p3=c。p2=c。 elsif ddddd=0 then p1=10。p5=dddd。p4=dddd。 end process。 then c:=000。 if c=000 then weixuan=1111110。 elsif c=010 then weixuan=1111011。 elsif c=100 then weixuan=1101111。 end if。 when 5 = led=0100100。 when 7 = led=0001111。 when 9 = led=0000100。 end process
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計(jì)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1