freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于quartusii軟件的hdb3碼編碼器的設(shè)計(文件)

2024-12-10 19:52 上一頁面

下一頁面
 

【正文】 if(flag1b=1)then 25 codeout=11。 flag1b=flag1b。 end rtl。 end if。 end if。 flag1b=1。 end process add_b。 end if。 s0(4)=s0(3)。 elsif(codeoutv=01) then flag=39。 s0(4)=s0(3)。 s0(4)=39。 else if(count1=0 and flag=39。 flag=39。 ds11:dff port map(s1(i),clk,s1(i+1))。 end process add_v。 count0=count0。 else count0=count0+1。 when 39。 else case codein is when 39。 begin add_v:process(clk,clr) 插符號 V 進程 begin if(rising_edge(clk)) then if(clr=39。 ponent dff 調(diào)用元件 dff 22 port (d : in std_logic。 signal codeoutb: std_logic_vector(1 downto 0)。 signal codeoutv: std_logic_vector(1 downto 0)。 復(fù)位信號 codeout: out std_logic_vector(1 downto 0))。 use 。 通過課程設(shè)計讓我知道了,我們平時所學(xué)的知識如果不 實際運用與操作 的話等于 做無用功 。 在最終建立系統(tǒng)模塊時,工程名和總模塊名要一致,在連接輸入輸出引腳時需要將其名稱改為相應(yīng)的模塊端口名。此信號還不是真正意義上的 HDB3 碼,需要將上述編碼轉(zhuǎn)換成 “+1” 、 “ 1” 、“0” 的多電平變化波形,而此工作單純依靠數(shù)字電路是無法完 成的。 END IF。 ELSE codeout=01。 ELSE 16 codeout=11。所以要得到所需 HDB3編碼的結(jié)果,需定義“ 00”、“ 01”、“ 10”來分別表示“ 0”、 “ 1”、 “ +1”。因此,可對“ V”單獨進行極性變換 (“ V”已經(jīng)由“ 11”標識,相鄰“ V”的極性是正負交替的 ),余下的“ 1”和“ B”看成一體進行正負交替,從而完成 HDB3的編碼。 END PROCESS add_b。 s0(4)=s0(3)。 ELSIF(codeoutv=01) THEN count1=count1+1。 s0(4)=s0(3)。 s0(4)=39。 s1(4)=s1(3)。 ―― 例化 ds05:DFF PORT MAP(s0(4),clk,s0(5))。―― 例化 ds03:DFF PORT MAP(s0(2),clk,s0(3))。―― 例化 ds01:DFF PORT MAP(s0(0),clk,s0(1))。輸出端用“ 11”表示符號“ V”,“ 01”表示“ 1”碼, “ 00”表示“ 0”碼,“ 10”表示符號“ B”。 END PROCESS add_v。 count0=count0。 ELSE count0=count0+1。 WHEN 39。 ELSE CASE codein IS WHEN 39。 程序流程圖如下 : 10 BEGIN add_v:PROCESS(clk,clr) 插 V BEGIN IF(RISING_EDGE(clk)) THEN IF(clr=39。最后完成單極性信號變成雙極性信號的轉(zhuǎn)換。由此產(chǎn)生了利用 FPGA 進行 HDB3 碼編碼的思路:先進行加 V碼,加 B碼操作,在此過程中,暫不考慮其極性,然后將 V 碼, 1碼和 B碼分成兩組,分別進行極性變換來一次實現(xiàn)。由 HDB3 編碼規(guī)則,當 NRZ 碼中出現(xiàn) 4 連 “ 0”串時,第 4 個“ 0”用破壞符號“ V”來代替,并且連續(xù)兩個“ V”之間如果有偶數(shù)個“ 1”時,要把最后一小段的第一個“ 0”變?yōu)椤?B”。 例 . NRZ 碼: 1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 0 1 1 插 V: 1 0 0 0 V 1 0 0 0 V 1 1 0 0 0 v 1 1 插 B: +1 0 0 0 +V1 0 0 0 V+1 1 +B 0 0 +V 1 +1 編碼設(shè)計思想 8 由于 CPLD 不能處理負電平,只能面向“ 1”、“ 0’’兩種狀態(tài),所以要對它的輸出進行編碼。 7 第二章: HDB3 碼編碼 工作原理 HDB3 碼的編碼規(guī)則 要了解 HDB3 碼的編碼規(guī)則,首先要知道 AMI 碼的構(gòu)成規(guī)則, AMI 碼就是把單極性脈沖序列中相鄰的 “1” 碼 (即正脈沖 )變?yōu)闃O性交替的正、負脈沖。 編譯:在輸入完畢并保存文件后,建立合適的工程項目文件,執(zhí)行Compilation 編譯命令,即可由 Quartus Ⅱ軟件自動產(chǎn)生輸出波形。 作為一種標準化的
點擊復(fù)制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1