【正文】
對超前搶答進(jìn)行警告,還能記錄無論是正常搶答還是朝前搶答者的臺(tái)號(hào),并且能實(shí)現(xiàn)當(dāng)有一路搶答按鍵按下時(shí),該路搶答信號(hào)將其余個(gè)綠搶答封鎖的功能。 use 。 end js。 or stop=39。event and clk=39。 if s=39。139。 end if。 or stop=39。event and co=39。 then if tb=0000 then tb=0011。 end if。其中有搶答時(shí)鐘信號(hào) clk2;系統(tǒng)復(fù)位信號(hào) rst;搶答使能信號(hào) s;搶答狀態(tài)顯示信號(hào) states;無人搶答警報(bào)信號(hào) warn;計(jì)時(shí)中止信號(hào) stop;計(jì)時(shí)十位和個(gè)位信號(hào) tb, ta。 use 。 10 y: out std_logic_vector(3 downto 0) )。 process(clk2,rst) begin if(rst=39。event and clk2=39。 end if。 when 10=y=c。 end body_chooser。 USE 。 12 ARCHITECTURE BEHAVE OF ALARM IS SIGNAL WARN:STD_LOGIC。EVENT AND CLK=39。 THEN WARN =39。AND N=19)THEN WARN =NOT WARN。 END IF。 仿真圖 報(bào)警模塊圖 13 在這個(gè)模塊中主要實(shí)現(xiàn)搶答過程中的報(bào)警功能,當(dāng)主持人按下控制鍵,有限時(shí)間內(nèi)如果人搶答或是計(jì)數(shù)到時(shí)蜂鳴器開始報(bào)警,有效電平輸入信號(hào) i;狀態(tài)輸出信號(hào) q;計(jì)數(shù)脈沖clk2。 ENTITY YMQ IS PORT(AIN4: IN STD_LOGIC_VECTOR(3 DOWNTO 0)。 0 WHEN 0001=DOUT7=0110000。 4 WHEN 0101=DOUT7=1011011。 8 WHEN 1001=DOUT7=1111011。 END ARCHITECTURE ART。 15 USE 。 END clkdiv。139。 END IF 。139。039。 16 END rtl。 use 。 m:out std_logic_vector(1 downto 0)。 s0,s1,s2,s3:in std_logic。 ponent js is port(clk,rst,s,stop:in std_logic。 ponent sjxz is port(clk2,rst:in std_logic。 end ponent。 ponent alarm is port(clk,i:in std_logic。 signal ledout:std_logic_vector(6 downto 0)。c=ledout(4)。g=ledout(0)。 u4:ymq port map(ain4=y_out,DOUT7=ledout)。 19 (八 )主電路連線圖 (九 )將程序下載到芯片 FLEX— EPF10LC844 上,引腳圖如下 20 三 、 器件編程與下載 將編譯好的模塊程序下載到 CPLD 中(注: device 選取要與硬件對應(yīng),否則會(huì)導(dǎo)致實(shí)驗(yàn)失?。?,連線做硬件實(shí)驗(yàn)。 七 、參考文獻(xiàn) [1]、江國強(qiáng):《 EDA 技術(shù)習(xí)題與實(shí)驗(yàn)》 , 電子工業(yè)出版社 [2]、 曹昕燕,周風(fēng)臣,聶春燕:《 EDA 技術(shù)試驗(yàn)與課程設(shè)計(jì)》,清華大學(xué)出版社 [3]、黃仁欣:《 EDA 技術(shù)實(shí)用教程》,清華大學(xué)出版社 22 指導(dǎo)教師評語及設(shè)計(jì)成績 評 語 課程設(shè)計(jì)成績: 指導(dǎo)教師: 日期: 年 月 日 。 五 、 實(shí)驗(yàn)設(shè)備 計(jì)算機(jī), EL教學(xué)實(shí)驗(yàn)箱 21 六 、心得體會(huì) 通過這次對搶答器的設(shè)計(jì)和實(shí)踐,學(xué)到了很多的東西,不僅鞏固了以前所學(xué)的知識(shí),而且學(xué)到了書本上沒有的東西,在調(diào)試中每修改一個(gè)小的錯(cuò)誤,自己心中 的成就感就徒增,特別是把一個(gè)程序模塊轉(zhuǎn)化成原理圖時(shí),自己從課本看到是一段話“首先打開要轉(zhuǎn)換的 VHDL 文件,選擇 FileCreat/UpdateCreat symbel/Files for current File 命令,即可將當(dāng)前文件變成一個(gè)元件符號(hào) SINGT,然后再于另一工程中調(diào)用此元件,但必須注意,此工程和已生成的都在同一文件夾中 ” ——— 課本 P130 頁,這一段話一開始讓我不知其解,后到百度搜索,發(fā)現(xiàn)也是 這幾句話,后看到軟件中的 File中 ” BlockDiagram/Schematic File” ,又去