freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的多功能波形發(fā)生器設計課程設計(文件)

2025-09-16 15:28 上一頁面

下一頁面
 

【正文】 的重要性。 24 6 參考文獻 【 1】 潘松 黃繼業(yè) . EDA 技 術(shù)與 VHDL(第二版) .北京:清華大學出版社, 【 2】 康華光 .電子技術(shù)基礎 .北京:高等教育出版社 . 【 3】 付家才 . EDA 工程實踐技術(shù) .北京:化學工業(yè)出版社, 【 4】 漢澤西 . EDA 技術(shù)及其應用 .北京:北京航空航天大學出版社, 【 5】 趙剛 .EDA 技術(shù)簡明教程 .成都:四川大學出版社, 【 6】 章彬宏 周正林 .EDA 應用技術(shù) .北京:北京理工大學出版社, 【 7】 劉艷萍 高振斌 李志平 .EDA 實用技術(shù)及應用 .北京:國防工業(yè)出版社 , 25 7 附錄源程序代碼 library ieee。 entity keshe is port(clk : in std_logic。 方波 A、 B 的切換 sw,和方波 B 的幅度調(diào)節(jié)按鍵 ss : in std_logic_vector( 3 downto 0 )。 預留接口 lcd : out std_logic_vector(7 downto 0)。 architecture behav of keshe is subtype word is std_logic_vector( 7 downto 0 )。 signal qq : integer range 0 to 78125000。 signal b : integer range 0 to 78125000。 signal amp, amp0, d : std_logic_vector(7 downto 0)。 signal y : integer range 0 to 9。 qqq 信號對應 SW=1 時的檔位選擇信號 SS,實現(xiàn)方波 B 的頻率預置 process(clk) 此進程分別描述了各種波形的頻率、幅度(方波 A 的占空比)調(diào)節(jié)以及各種波形的任意線 形疊加等。 variable count1 : integer range 0 to 12500000。 variable adr : integer range 0 to 63。 adr:=conv_integer(Data3)*10+conv_integer(Data2)。 對置入的任意波形數(shù)據(jù)進行儲存 elsif clr=39。039。 end if。139。c=0。 tmp=conv_integer(Data3)*1000+conv_integer(Data2)*100 +conv_integer(Data1)*10+conv_integer(Data0)。 then if counqq then coun=coun+tmp。 else f=f+1。 elsif con=63 then con=0。 end if。 鋸齒波 elsif sss=01000 then 三角波 if f31 then dd=(111111f(5 downto 0))amp。 end if。 addr=0。 then if con=z then con=con+1。 end if。 then dd1:=conv_integer(d)。 then dd2:=conv_integer(f(5 downto 0)amp。139。00)。139。 addr=0。 波形線形疊加輸出 dd=ddd(9 downto 2)。 end if。 dd=amp0。 dd=00000000。 end if。 then if z63 then z=z+1。139。 end if。 up、 down 對 4 種波形幅度調(diào)節(jié), u0、 d0 進一步對方波進行幅度調(diào)節(jié) if u0=39。 end if。 else amp0=00000000。 then if amp11111111 then amp=amp+1。139。 end if。 end if。 將幅值輸出。 variable count : integer range 0 to 50004225。139。 bcd1=0。 幅值運算 elsif count1=4999900 then count1:=count1+1。 bcd30=bcd3。 elsif count999999 then count:=count1000000。 elsif count9999 then count:=count10000。 30 end if。 bcd01=0。 elsif count0=4999000 then bcd00=bcd01。 count0:=count0+1。 elsif counter9999 then counter:=counter10000。 elsif counter99 then counter:=counter100。 end if。 process(clk) 輸出波形幅度(峰 峰值)數(shù)據(jù)譯碼動態(tài)顯示 variable count : integer range 0 to 499999。 lcd(0)=39。 shift=1011。 count:=count+1。 elsif count499999 then y=bcd30。139。lcd(0)=39。 case y is 7 段碼譯碼 when 0 = lcd(7 downto 1)=0000001。 when 4 = lcd(7 downto 1)=1001100。 when 8 = lcd(7 downto 1)=0000000。 end process。when 00000011= d=11111001 。when 00000111= d=11100001 。when 00001011= d=10111010 。when 00001111= d=10001001 。when 00010011= d=01010111 。when 00010111= d=00101011 。when 00011011= d=00001101 。when 00011111= d=00000000 。when 00100011= d=00001000 。when 00100111= d=00100010 。when 00101011= d=01001011 。when 00101111= d=01111100 。when 00110011= d=10101110 。when 00110111= d=11011001 。when 00111011= d=11110101 。when 00111111= d=11111111 。 end process。 32 本科生課程設計成績評定表 姓 名 性 別 專業(yè)、班級 課程 設計題目 : 多功能波形發(fā)生器的設計 課程設計答辯或質(zhì)疑記錄: RTL 視圖中為什么有 64 個輸出? 答:設計 產(chǎn)生正弦波、方波、三角波、鋸齒波 4 種周期性波 形,每一種波形都有16 個輸出端,位數(shù)越多,表示的精度越高。 成績評定依據(jù): 最終評定成績(以優(yōu)、良、中、及格、 不及格評定) 指導教師 簽字: 年 月 日 。但要輸出示波器能顯示的波形,則至少還需要數(shù)模轉(zhuǎn)換模塊和低通濾波模塊。139。 end case。 when 00111101= d=11111100 。 when 00111001= d=11101001 。 when 00110101= d=11000101 。 when 00110001= d=10010110 。 when 00101101= d=01100011 。 when 00101001= d=00110101 。 when 00100101= d=00010011 。 when 00100001= d=00000001 。 when 00011101= d=00000100 。 when 00011001= d=00011010 。 when 00010101= d=01000000 。 when 00010001= d=01110000 。 when 00001101= d=10100010 。 when 00001001= d=11001111 。 when 00000101= d=11101111 。 when 00000001= d=11111110 。 when others = lcd(7 downto 1)=0000001。 when 6 = lcd(7 downto 1)=0100000。 when 2 = lcd(7 downto 1)=0010010。 end if。 count:=0。 shift=1110。lcd(0)=39。139。 elsif count=249999 then y=bcd10。 count:=count+1。 end if。 else null。 elsif counter999 then counter:=counter1000。 if counter99999 then counter:=counter100000。 bcd20=bcd21。 bcd21=0。 正弦波、三角波、鋸齒波 if count0=4999999 then counter:=conv_integer(amp)*1961。 else null。 elsif count99999 then count:=count100000。 二進制碼到 BCD 碼的數(shù)據(jù)轉(zhuǎn)換 if count9999999 then count:=count10000000。 bcd10=bcd1。 bcd3=0。 方波 if count1=4999999 then count1:=0。 variable count1,count0 : integer range 0 to 4999999。139。 end process。 end if。 else amp=00000000。 end if。 elsif up=39。139。 then if amp011111111 then amp0=amp0+1。 end if。 else z=0。 end if。 調(diào)方波 A 的占空比 if zu=39。 end if。dd=00000000。 c
點擊復制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1