freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的復(fù)指數(shù)轉(zhuǎn)換模塊設(shè)計_畢業(yè)設(shè)計(論文)-文庫吧

2025-07-28 18:15 本頁面


【正文】 位角中 pi 的系數(shù)的第二位小數(shù)開始的數(shù)。 sin_out output 輸出正弦值 cos_out output 輸出余弦值 eps output 表示第 i 次旋轉(zhuǎn)后剩余未旋轉(zhuǎn)的角度 p_flag output 正余弦值輸出標(biāo)志 模塊詳細(xì)流程圖 5 仿真驗(yàn)證 根據(jù)以上分析編寫 模塊代碼,見附件。然后建立 向量波形文件 (見工程),根據(jù)要求在 文件中編輯激勵信號。 仿真一: 當(dāng)輸入相位角為 30176。時,即6?,系數(shù)為 1/6=,那么輸入的 16 位相位角 phase_in = 0001_0101_0101_0101。仿真結(jié)果得: sin_out = 0011_1111_1111_1110, cos_out = 0110_1110_1101_1100 仿真結(jié)果如下圖 圖 5 仿真結(jié)果圖 表 3 仿真結(jié)果分析 6??? 理論值 (第 1 位表示正負(fù) ) 仿真結(jié)果 誤差 ?ins 0100_0000_0000_0000 (1/2=) 0011_1111_1111_1110 () ?cos 0110_1110_1101_1001 ( ? ) 0110_1110_1101_1100 () 仿真二: 當(dāng)輸入相位角為 135176。時,即 43? ,變換成第一象限角為 4? ,系數(shù)為1/4=,那么輸入的 16位相位角 phase_in = 0110_0000_0000_0000。仿真結(jié)果得: sin_out = 0101_1010_0000_0100, cos_out = 1010_0101_1111_1111 仿真結(jié)果如下圖 圖 6 仿真結(jié)果圖 表 4 仿真結(jié)果分析 43??? 理論值 (第 1 位表示正負(fù) ) 仿真結(jié)果 誤差 ?ins 0101_1010_1000_0010 ( ? ) 0101_1010_0000_0100 () ?cos 1010_0101_0111_1101 ( 07122 ??? ) 1010_0101_1111_1111 () 由上實(shí)驗(yàn)結(jié)果可知:仿真結(jié)果與理論結(jié)果基本一致,誤差還是比較小的;如果想進(jìn)一步縮小誤差,可以增加迭代次數(shù)。 附件 : 模塊名: 模塊代碼: `timescale 1ns / 100ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // Create Date: 17/01/2020 // Design Name: // Module Name: // Project Name: // Target Devices: // Tool versions: // Description: // Dependencies: // Revision: // Revision File Created // Additional Comments: ////////////////////////////////////////////////////////////////////////////////// module cos_sin_value( clk, rst_n, ena, phase_in, sin_out, cos_out, eps, puc_zc_cordic_flag )。 parameter DATA_WIDTH=16。 //定義數(shù)據(jù)位寬為 16 parameter PIPELINE=16。 //流水線級數(shù)為 16 input clk。 input rst_n。 input ena。 input [DATA_WIDTH1:0] phase_in。 //輸入相位 output [DATA_WIDTH1:0] sin_out。 //輸出正弦值 output [DATA_WIDTH1:0] cos_out。 //輸出余弦值 output [DATA_WIDTH1:0] eps。 //表示第 i 次旋轉(zhuǎn)后剩余未旋轉(zhuǎn)的角度 output puc_zc_cordic_flag。 //正余弦值輸出標(biāo)志 reg [DATA_WIDTH1:0] sin_out。 reg [DATA_WIDTH1:0] cos_out。 reg [DATA_WIDTH1:0] eps。 reg puc_zc_cordic_flag。 reg [DATA_WIDTH1:0] phase_in_reg。 //輸入相位緩存 //這里的相位表示是這樣的:最高位和次高位這 兩位表示象限 //(如 00 代表的第一象限, 01 代表的第二象限 ,10 代表的第三象限, 11 代表的第四象限) //,剩下的低十四位代表相位值(這里一個單位代表的度數(shù)是 90/16384=) // 矩陣相乘中間寄存器變量,用于 13 次迭代計算 reg [DATA_WIDTH1:0] x0,y0,z0。 reg [DATA_WIDTH1:0] x1,y1,z1。 reg [DATA_WIDTH1:0] x2,y2,z2。 reg [DATA_WIDTH1:0] x3,y3,z3。 reg [DATA_WIDTH1:0] x4,y4,z4。 reg [DATA_WIDTH1:0] x5,y5,z5。 reg [DATA_WIDTH1:0] x6,y6,z6。 reg [DATA_WIDTH1:0] x7,y7,z7。 reg [DATA_WIDTH1:0] x8,y8,z8。 reg [DATA_WIDTH1:0] x9,y9,z9。 reg [DATA_WIDTH1:0] x10,y10,z10。 reg [DATA_WIDTH1:0] x11,y11,z11。 reg [DATA_WIDTH1:0] x12,y12,z12。 reg [DATA_WIDTH1:0] x13,y13,z13。 reg [1:0] quadrant[PIPELINE:0]。 //定義象限定義變量 reg [3:0] count。 //時鐘計數(shù) integer i。 //整型變量 always @(posedge clk or negedge rst_n) //正余弦值輸出標(biāo)志控制(在使能信號拉高的第 16 個時鐘后) begin if(!rst_n) begin count=439。b0000。 puc_zc_cordic_flag=139。b0。 end else if(ena==139。b1) begin if(count==439。b1111) begin puc_zc_cordic_flag=139。b1。 //正余弦值輸出標(biāo)志置 1 end else begin puc_zc_cordic_flag=139。b0。 count=count+139。b1。 end end else begin puc_zc_cordic_flag=139。bz。 end end always @(posedge clk or negedge rst_n) begin if(!rst_n) phase_in_reg=1639。h0000。 else if(ena==139。b1) begin case(phase_in[15:14]) 239。b00:phase_in_reg=phase_in。 //當(dāng)前輸入為第一象限的相位角 239。b01:phase_in_reg=phase_in 1639。h4000。 //pi/2(當(dāng)前輸入為第二象限的相位角,故 要減去 pi/2) 239。b10:phase_in_reg=phase_in 1639。h8000。 //pi(當(dāng)前輸入為第三象限的相位角,故要減去 pi) 239。b11:phase_in_reg=phase_in 1639。hC000。 //3pi/2(當(dāng)前輸入為第四象限的相位角,故要減去 3pi/2) default:。
點(diǎn)擊復(fù)制文檔內(nèi)容
試題試卷相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1